CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL , RS232

搜索资源列表

  1. VHDL实现RS232串口通信源码

    0下载:
  2. QUARTUS2下VHDL实现串口通信的源码,整个工程分四个模块:顶层,波特率产生模块,发送模块,接受模块。
  3. 所属分类:源码下载

    • 发布日期:2011-06-30
    • 文件大小:2464
    • 提供者:lkac13
  1. RS232串口通信协议

    0下载:
  2. RS232串口通信协议,verilog实现,通过FPGA完全调通。,RS232 serial communication protocol, verilog achieved entirely through the FPGA transfer pass.
  3. 所属分类:VHDL编程

    • 发布日期:2016-01-25
    • 文件大小:3536
    • 提供者:dingsheng
  1. rs232

    0下载:
  2. 完整的RS232 Verilog源代码,支持波特率可调,支持调试命令,配合串口调试工具,可作为FPGA开发中的调试平台。-Full RS232 Verilog source code, support for baud rate is adjustable to support debugging command, with the serial debugging tools can be used as the debugging FPGA development platform.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:13350
    • 提供者:弘历
  1. rs232

    0下载:
  2. fpga的串口读写程序,经硬件测试成功,波特率9600.可以改变分频值适应不同的时钟和波特率-fpga serial read and write procedures, by the hardware to test the success of 9600 baud rate. frequency value can be changed to adapt to a different clock and baud rate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:383623
    • 提供者:cjy
  1. UART

    2下载:
  2. 内含有完整的UART代码,包括发送和接受,且有testbench,可以直接仿真调试-Contain complete UART code, including send and receive and there testbench, can directly Simulation debugging
  3. 所属分类:Com Port

    • 发布日期:2017-03-29
    • 文件大小:9094
    • 提供者:李佳
  1. VHDL

    0下载:
  2. 数码管显示,温度传感,红外感应,流水灯蜂鸣器,PS2,RS232的相关VHDL程序,已经在MAX-IIEPM570开发板上测试成功-Digital display, temperature sensor, infrared sensor, water lights buzzer, PS2, RS232 relevant VHDL procedures have been developed at MAX-IIEPM570 the success of on-board test
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:8861
    • 提供者:刘运学
  1. RS232

    0下载:
  2. 关于RS232的VHDL程序,具体是什么还不是太清楚,不过程序还是可以借鉴-RS232 on the VHDL program, specifically what is not too clear, but the procedure can still learn from
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:300116
    • 提供者:y
  1. RS232

    0下载:
  2. 详细的Rs232接口设计思路,通讯规则,及详细代码-Rs232
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-02
    • 文件大小:123761
    • 提供者:ZC
  1. RS232

    0下载:
  2. RS232的FPGA通讯程序,用的是VHDL语言写的,非常好用-RS232 communication program of the FPGA, using the VHDL language, very easy to use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:365471
    • 提供者:无名氏
  1. altera-schemic-

    0下载:
  2. FPGA应用,Altera的FPGA开发板原理图汇集,FPGA最小系统,rs232串口转换,VGA显示-FPGA applications, Altera' s FPGA development board schematic pooling, FPGA minimum system, rs232 serial converter, VGA display etc.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-09
    • 文件大小:1720572
    • 提供者:吴贵锋
  1. rs232

    0下载:
  2. 通过FPGA实现串口通信,结果在超级终端可见-Serial communication through the FPGA, the result can be seen in the HyperTerminal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:640806
    • 提供者:chengliu
  1. c_FPGA

    0下载:
  2. RS232设计,硬件测试通过,VERILOG实现的,比较好的哦-RS232 design, hardware test, VERILOG realized, oh good
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-10
    • 文件大小:1249471
    • 提供者:洪依
  1. rs232

    0下载:
  2. 这是cpld,EPM240数据通信rs232程序,希望与大家分享-This is cpld, EPM240 data communication rs232 procedure, hoping to share with you
  3. 所属分类:Com Port

    • 发布日期:2017-03-29
    • 文件大小:132427
    • 提供者:蓝风
  1. RS232(1)

    0下载:
  2. 基于FPGA的串行通信接口设计,用硬件描述语言VHDL实现-FPGA-based serial communication interface design, using hardware descr iption language VHDL implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1042154
    • 提供者:吴海霞
  1. rs232

    0下载:
  2. 在FPGA上实现数据的串口传送,可以和上位机进行数据的首发,里面包含的仿真过程-Realized in the FPGA serial data transmission, data can be the starting PC, which contains the simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1293678
    • 提供者:gdr
  1. RS232

    0下载:
  2. RS232 串口通信 的 VHDL描述,初学FPGA的朋友可以-RS232 serial communication VHDL descr iption, FPGA beginner friends can see
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1346452
    • 提供者:chengwenfan
  1. RS232

    0下载:
  2. RS232串口驱动,使用alter公司的ep3c芯片-RS232
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1628682
    • 提供者:七夜
  1. FPGA-UART

    0下载:
  2. 该资料是实现VHDL的串口通信(UART),RS232接口协议,-VHDL implementation of serial communication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2088292
    • 提供者:lp
  1. RS_232

    0下载:
  2. VHDL实现RS232串口通信,压缩包内有完整的quartus2工程,由顶层,波特率,发送,接收四个模块构成。外部电路只需要一片MAX232就能与串口助手或单片机通信。-VHDL implementation of RS232 serial communication, compressed within a complete quartus2 project from the top, baud rate, send, receive four modules. External circui
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:403598
    • 提供者:徐博
  1. RS232uart(VHDL)

    0下载:
  2. rs232串口程序,包括输入和输出,vhdl实现。rs232 serial procedures, including input and output, vhdl implementation.-rs232 serial procedures, including input and output, vhdl implementation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:47152
    • 提供者:houjiajun
« 12 3 4 »
搜珍网 www.dssz.com